聯(lián)系我們
    發(fā)送郵箱
    主頁 ? 產(chǎn)品中心 ? SOC芯片 ? CPLD ?
    CPLD是Complex PLD的簡稱,其系一種較PLD為復雜的邏輯組件。是一種整合性較高的邏輯組件。由于具有高整合性的特點,故其有性能提升,可靠性增加,PCB面積減少及成本下降等優(yōu)點。CPLD采用CMOS EPROM、EEPROM、快閃存儲器和SRAM等編程技術,從而構成了高密度、高速度和低功耗的可編程邏輯器件。
    聆思半導體技術(蘇州)有限公司,成立于2020年,是一家擁有自主知識產(chǎn)權的數(shù)模混合信號SoC的芯片設計公司。Linksee可以根據(jù)客戶的需求定制各種數(shù)字應用,如開關機控制、看門狗、PWM及各種負責的邏輯和時序控制,為客戶提供最優(yōu)的低成本ASIC。
     
    型號 類型 供電范圍 GPIO 模數(shù)轉(zhuǎn)換器 數(shù)模轉(zhuǎn)換器 低功耗比較器 高速比較器 超高速比較器 精密運放 基準源 查找表 多功能單元 同步狀態(tài)機 時鐘 封裝 PDF下載
    LS98003 CPLD 1.8V~5.5V 6 0 0 0 0 0 0 0 7 8 0 1 TQFN8/DFN8 暫無
    LS98002 CPLD 2.3V~5.5V 12 1 2 4 4 0 1PGA 2 20 12 1 2 TQFN14 暫無
    LS98102 CPLD 2.3V~5.5V 12 1 2 4 4 0 1PGA 2 20 12 1 2 TQFN14/QFN14 暫無
    LS98006 CPLD 1.8V~5.5V 18 0 0 0 6 0 0 4 39 16 0 3 TQFN20/SSOP20 暫無
    展開
    主站蜘蛛池模板: 99精品国产99久久久久久97| 欧美jizz18| 精品国产综合区久久久久久| 亚洲午夜福利在线观看| 91人成网站色www免费下载| 久久精品久久久久观看99水蜜桃| 高潮内射免费看片| 色yeye香蕉凹凸视频在线观看| 露脸国产自产拍在线观看| 中文字幕一区二区人妻性色| 新版bt天堂资源在线| 国产精品另类激情久久久免费| 日本黄线在线播放免费观看| eeuss影院在线观看| 污污内射在线观看一区二区少妇| 国产精品视频1区| 国产欧美视频在线观看| 日本高清中文字幕在线观穿线视频| 欧美性受xxxx白人性爽| 成年男女男精品免费视频网站| 两个人看的www免费| 亚洲国产日韩在线人成下载| 丰满白嫩大屁股ass| 午夜精品久久久久久99热| 久久久久亚洲精品无码蜜桃| 可以免费看污视频的网站| 久久成人免费大片| 亚洲av无码不卡一区二区三区| 日本高清免费xxx在线观看| 国精品无码一区二区三区在线| 亚洲人成人无码网www国产| 人妻在线日韩免费视频| 久久久亚洲欧洲日产国码农村| 欧美性大战久久久久久久| 99久久精品费精品国产| 999影院成人在线影院| 欧美精品高清在线观看| 最近中文字幕mv免费高清视频7| 精品熟人妻一区二区三区四区不卡| 在线www天堂资源网| 亚洲免费网站观看视频|